-- MIPS I system-- Copyright 2011-2012 Stefan Schuermans <stefan@blinkenarea.org>-- Copyleft GNU public license V2 or later-- http://www.gnu.org/copyleft/gpl.htmlLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.numeric_std.all;PACKAGE io_lcd_pins ISTYPE t_io_lcd_pins ISRECORDdata: std_logic_vector(7 DOWNTO 0);e: std_logic;rs: std_logic;rw: std_logic;END RECORD;END PACKAGE io_lcd_pins;